BISR SCHEME USING BENCH MARK TESTING SEQUENTIAL CIRCUIT S27

R.N.Nivethitha, PSNA College Of Engineering and Technology; Dr.A.Kaleel Rahuman ,

BISR, BIST, LFSR, S27

In this bench mark testing sequential circuit S27 is tested by using Built in Self Repair concept. This paper describes an on-chip test generation method for functional broadside tests. The hardware is based on the application of primary input sequences initial from a well-known reachable state, therefore using the circuit to produce additional reachable states. Random primary enter sequences are changed to avoid repeated synchronization and thus differed various sets of reachable states. Functional broadside tests are two-pattern scan based tests that avoid over testing by ensuring a circuit that traverses only reachable states in the functional clock cycles for a check. This consist of the input vectors and the equivalent responses. They check the proper operation of a verified design by testing the internal chip nodes. This test is useful to cover a very high percentage of modeled faults in logic circuits and their generation is the main topic of this method. Often, functional vectors are understood as verification vectors, these are used to verify whether the hardware actually matches its specification. Though, in the ATE world, any one vectors applied are understood to be functional fault coverage vectors applied during developing test, then the fault coverage area easily detected. This paper shows S27 circuit is used in Multiplier Circuit for Testing Application and it is done by Verilog Programming and simulated by Modalism 6.5version and Synthesis by Xilinx Tool
    [1] V. Dabholkar, S. Chakravarty, I. Pomeranz, and S.M. Reddy, “Techniques for Minimizing Power Dissipation in Scan and Combinational Circuits during Test Application,” IEEE Trans. Computer-Aided Design, vol. 17,no. 12, pp. 1325-1333, Dec. 1998. [2] R. Sankaralingam, R.R. Oruganti, and N.A. Touba, “Static Compaction Techniques to Control Scan Vector Power Dissipation,” Proc. VLSI Test Symp., pp. 35-40, 2000. [3] L. Whetsel, “Adapting Scan Architectures for Low Power Operation,” Proc.Int’l Test Conf., pp. 863-872, 2000. [4] K.-J. Lee, T.-C. Huang, and J.-J. Chen, “Peak-Power Reduction for Multiple-Scan Circuits during Test Application,” Proc. Asian Test Symp., pp. 453-458,2000. [5] J. Saxena, K.M. Butler, and L. Whetsel, “An Analysis of Power Reduction Techniques in Scan Testing,” Proc. Int’l Test Conf., pp. 670-677, 2001. [6] S. Kajihara, K. Ishida, and K. Miyase, “Test Vector Modification for Power Reduction during Scan Testing,” Proc. VLSI Test Symp., pp. 160-165, 2002. 7] A. Chandra and K. Chakrabarty, “Reduction of SOC Test Data Volume,Scan Power and Testing Time Using Alternating Runlength Codes,” Proc. Design Automation Conf., pp. 673-678, 2002. [7] D. Xiang, S. Gu, J.-G. Sun, and Y.-L. Wu, “A Cost-Effective Scan Architecture for Scan Testing with Nonscan Test Power and Test Application Cost,” Proc. Design Automation Conf., pp. 744-747, 2003. [8] J. Saxena, K.M. Butler, V.B. Jayaram, S. Kundu, N.V. Arvind, P.Sreeprakash, and M. Hachinger, “A Case Study of IR-Drop in Structured at-Speed Testing,” Proc. Int’l Test Conf., pp. 1098-1104, 2003. [9] W. Li, S.M. Reddy, and I. Pomeranz, “On Test Generation for Transition Faults with Minimized Peak Power Dissipation,” Proc. Design Automation Conf., pp. 504-509, 2004. [10] P. Rosinger, B.M. Al-Hashimi, and N. Nicolici, “Scan Architecture with Mutually Exclusive Scan Segment Activation for Shift- and Capture-Power Reduction,” IEEE Trans. Computer-Aided Design, vol. 23, no. 7, pp. 1142- 1153, July 2004. [11] K.M. Butler, J. Saxena, A. Jain, T. Fryars, J. Lewis, and G. Hetherington,“Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques,” Proc. Int’l Test Conf., pp. 355-364, 2004. [12] K. Lee, S. Hsu, and C. Ho, “Test Power Reduction with Multiple Capture Orders,” Proc. Asian Test Symp., pp. 26-31, 2004. [13] X. Wen, Y. Yamashita, S. Morishima, S. Kajihara, L.-T. Wang, K.K. Saluja,and K. Kinoshita, “Low-Capture-Power Test Generation for Scan-Based Testing,” Proc. Int’l Test Conf., pp. 1019-1028, 2005.Chakrabarti C., Vishwanath M., and Owens R., “Architectures for Wavelet Transforms: A Survey,”Journal VLSI Signal Process, vol. 14, no. 2, pp. 171-192, 1996.
Paper ID: GRDCF002096
Published in: Conference : International Conference on Innovations in Engineering and Technology (ICIET - 2016)
Page(s): 507 - 513