High Speed and Resource Efficient Systolic Architecture for Matrix Multiplication using FPGA

Anitha, Kalpataru Institute of Technology, Tiptur; Pradeep Kumar S K ,Kalpataru Institute of Technology, Tiptur

FPGA, Systolic Architecture, Processing Element

Grid increase is the piece operation utilized as a part of numerous picture and flag handling applications. This work exhibits a viable configuration for the Matrix Multiplication utilizing Systolic Architecture. This design expands the registering speed by utilizing the idea of parallel handling and pipelining into a solitary idea. The chose stage is a FPGA (Field Programmable Gate Array) gadget since, in systolic registering, FPGAs can be utilized as committed PCs as a part of request to perform certain calculations at high frequencies. The paper exhibits a systolic design for framework duplication calculation utilizing FPGA. Approach utilizes four preparing components that minimizes area, lessens the range and enhances calculation time.
    [1] J. Jang, S. Choi and V. Prasanna, “Area and Time efficient implementation of Matrix Multiplication on ” in proceedings, IEEE International conference on Field Programmable Technology pp. 93-100, Dec. 2002. [2] Scott J.Campbell and Sunil Khatri, "Resource and Delay Efficient Matrix Multiplication using newer FPGA devices. GLSVLSI’2006. [3] A. Amira, A. Bouridane, and P. Milligan, "Accelerating Matrix Product on Reconfigurable Hardware for Signal Processing," Field-Programmable Logic and Applications (FPL), pp. 101-111, 2001. [4] J. Jang and S. Choi, “Energy and time efficient matrix multiplication on FGPAs”, IEEE transaction on VLSI systems Vol. 13, pp 1305-1319 Nov, 2007. [5] A. Amira and F. Bansali “An FPGA Based parameterisable system for matrix product implementation.” in IEEE workshop on signal processing systems, pp. 75-79 Oct. 2002. [6] D.N.Sonawane, Dr. M.S Sutaone, Mr.Inayat Malek “Systolic Architecture for Integer Point Matrix Multiplication using FPGA” in IEEE Conference on Industrial Electronics and Applications, 3822-3825, May 2009. [7] J. Jang and S. Choi, “Energy and time efficient matrix multiplication on FGPAs”, IEEE transaction on VLSI systems Vol. 13, pp 1305-1319 Nov, 2007. [8] O. Mencer,M. Morf, and M. Flynn, "PAM-Blox: High Performance FPGA Design for Adaptive Computing, "IEEE Symposium on FPGAs for Custom Computing Machines, pp. 167-174, 1998. [9] Ling Zhuo and Victor K. Prasanna, “Scalable and Modular Algorithms for floating Point Matrix Multiplication on FPGAs”, Los Angeles, USA, Feb. 16, 2004. [10] J. Jang and S. Choi, “Energy and time efficient matrix multiplication on FGPAs”, IEEE transaction on VLSI systems Vol. 13, pp 1305-1319 Nov, 2007. [11] A book on “VLSI Digital Signal Processing Systems Design and Implementation” by K. K. Parhi, Wiley, 1999. [12] Xilinx, “Spartan XC3S500E -FPGA user’s guide”. www.xilinx.com
Paper ID: GRDJEV01I050111
Published in: Volume : 1, Issue : 5
Publication Date: 2016-05-01
Page(s): 92 - 99